ORCA Development Guide

THIS PAGE CURRENTLY UNDER CONSTRUCTION!!

The Design Flow

  1. Enter Design in VHDL
  2. Simulate and Debug
  3. Compile and Optimize
  4. Export EDIF Netlist
  5. MAP, Place and Route
  6. Configuration File Generation
The initial design can be entered any way you want, graphical or text. But an eventual VHDL description should be generated from the initial method of entry.

A customized Makefile template is created to facilitate the design flow from vhdl source code to bit file generation. Note that in the Makefile, some tools need setup files in the same directory for it to work correctly.

A top level VHDL template for each boswell or johnson should be used when creating application. This template file may be updated as time progresses. Therefore, be sure to add modification history to the template file whenever modification is made. A template file undergoing modification should never be used in real designs. You should create a file name that is obvious to others. When the template is stable enough that you think it should be used for designs from there on. Then give it a new version number. For designs that use these templates, the designers should provide information in the design file as to which template it originates from. Following these rules will help us track down bugs quickly later.

A pin assignment preference file is created for each boswell and johnson .

No user should change these files without consultation with Ken. Wrong pin assignment and I/O port type can severely damage the ORCA chips and state machine board.

Design Entry, Simulation, Compilation, Netlist Output (1, 2, 3, 4)

The design should be entered with VHDL. We currently use Synopsys' VHDL design tools. The actual designs for ORCA should be synthesizable under Design Compiler. Refer to Synopsys' online documentation on VHDL compiler reference manual for a complete set of synthesizable VHDL language constructs.

The code should be written as portable as possible unless speed, area, timing issues become important. In that case, you can instantiate ORCA specific components from the ORCA library. In the end, an EDIF should be generated to be used by the AT&T foundary tools for map, place, route, and generation configuration bitfile.

MAP, PLACE, ROUTE, and BIT File Generation (5, 6)


Jump to the Cheops Homepage

Jump back to State Machine Page

questions to kung@media.mit.edu

Created 8/28/96 by Ken Ling-Pei Kung